Как стать автором
Обновить

IBM PC своими руками — это очень просто

Время на прочтение 34 мин
Количество просмотров 199K
image

После того, как я воплотил свою давнишнюю мечту и все-таки (хотя и с опозданием почти на 30 лет) построил Радио 86РК, некоторое время мне казалось, что на этой части моей истории поставлена вполне достойная точка.

Тем не менее, обнаружилось, что болезнь до конца не вылечена, и она вернулась еще более острым рецидивом. Наверное, сказались как неожиданно успешный опыт постройки 86РК, так и то, что у меня в ходе данного процесса образовалось довольно большое количество весьма притягательно выглядящих инструментов, приборов и деталей, которым очень хотелось найти применение.
В конце концов ломка стала нестерпимой, и мне пришлось снова взяться за паяльник, а также вспомнить некоторые другие навыки из прошлого. Что из этого получилось, можно увидеть вместе с некоторым количеством картинок и очень (повторяю – ОЧЕНЬ) большим количеством букв (и даже не букв, а страниц) дальше…

Основным вопрос был в том, что именно делать. С одной стороны, душа лежала к чему-то совсем раритетному, с другой стороны хотелось также попробовать новые технологии. Находясь в тяжелых раздумьях, я периодически просматривал пару тематических форумов, посвященных старому «железу». В какой-то момент я натолкнулся на долго тянущуюся тему о том, как запустить 8086 процессор с минимумом обвязки. Странно было то, что тема обсуждалась больше года, но в практическую плоскость так и не передвинулась.

На мой неискушенный взгляд казалось, что задача вообще пустяковая, но вдруг там есть что-то, что я вообще не понимаю? В результате я решил попробовать поиграться именно с этим в надежде, что данное развлечение – именно то, что мне нужно для полного счастья.

Схему для себя я даже рисовать не стал – вроде все настолько просто, что вопросов, куда что подключать, не возникало. Оставалось только решить, каким способом это все собирать. С МГТФ я достаточно поигрался раньше, хотелось чего-то новенького. Так как все новое – это забытое старое, то я прикупил инструмент и материалы для монтажа накруткой и взялся за процесс. Который (процесс), к сожалению, особо никуда не пошел… Либо руки у меня совсем из неправильного места растут, либо что-то понял неправильно, но добиться устойчивой скрутки так и не получилось.

Впрочем, мучился я недолго (хотя, может именно в этом и была основная причина ?) – в процессе перекладывания моих сокровищ с места на место обнаружилась нераспечатанная беспаечная макетная плата с кучей очень красивых разноцветных проводков. Сложность (вернее, отсутствие таковой) проекта вполне позволяла без труда разместить все на этой плате, что я довольно оперативно и сделал:

image

Была написана суперпрограмма размером в десяток байт, реализующая не имеющую мировых аналогов функцию – мигание светодиодом. При первом включении ничего не заработало, но обнаружилось, что проблема только в запуске тактового генератора. Мне было лень ставить указанные в документации конденсаторы/резисторы возле кварца, поэтому иногда для запуска генератора требовалось коснуться корпуса кварца пальцем или отверткой, после чего светодиод начинал исправно мигать.

Кстати, меня немного удивила частота мигания – я уже забыл, насколько этот процессор был медленный. При максимально допустимой для оригинального 8088 тактовой частоте в 5МГц цикл в 65536 «пустых» операций выполнялся порядка секунды…
Опубликовав результат своего творчества на соответствующем форуме, я тут же был обвинен в том, что обсуждалось подключение 8086, а у меня же 8088, что намного проще и вообще!

Ладно, практика – критерий истины. Раздобыл 8086, потратил еще чуть-чуть времени (в основном, чтобы разнести вручную программу в две микросхемы ПЗУ, так как 8086 16-разрядный, а ПЗУ у меня были 8-разрядные) и получил очередную мигалку:

image

Апетит, как известно, приходит во время еды. Вот и у меня, вместо удовлетворения от достигнутого, возникло желание двинуться дальше. Только тут уже совсем настойчиво утвердилась мысль, что нужно также опробовать и современные технологии. В качестве примера современных технологий было принято решение использовать FPGA в комбинации с 8088 процессором. Одним махом можно было убить нескольких зайцев – база (процессор) знакомая, технологии FPGA вполне современные, особо тратить время на монтаж не нужно, так как все творчество можно перенести внутрь FPGA.

У меня уже имелась одна из самых навороченных отладочных FPGA плат – Terasic DE2-115, на которой, кроме довольно большой FPGA Altera Cyclone IV, также установлено немереное количество прибамбасов, свисталок и мигалок:

image

В частности, кроме штатных GPIO в количестве 36 плюс еще несколько штук, на этой плате также находится разъем расширения, к которому можно подключить небольшую плату и получить в общей сложности около 100 GPIO, что должно хватить для практически любого мыслимого применения (разумеется, в моих скромных целях).

Правда, есть нюанс – процессор 8088 5-вольтовый, а вот FPGA уже давно отказались от поддержки TTL 5V, максимум, что есть – LVTTL 3.3V

Хорошо, что есть широкий выбор преобразователей уровней, что и решено было использовать. Вначале остановился на микросхеме TXB0108 – 8-разрядный двунаправленный преобразователь уровней с автоматическим выбором направления. Автоматический выбор направления был довольно важен, так как позволял не думать о том, что происходит на шине данных – чтение или запись. Кроме того, шина данных в 8088 мультиплексирована с 8 младшими разрядами адресной шины, что еще добавляет сложности в определении того, в каком направлении нужно передавать сигналы – от процессора или к нему.

Так как TXB0108 довольно маленькая, да и возиться с проводами уже не очень хотелось, решил попробовать еще одну новую для меня вещь. Была куплена программа Eagle для разводки печатных плат, и начались мои мучения как с освоением нового программного продукта вообще, так и с весьма специфическим интерфейсом Eagle в частности.

Делать что-то в первый раз в новой для себя области лично для меня очень мучительно – тыкаешься, как слепой котенок, а душа рвется на просторы. Подготовка gerber-файлов для производства платы (да, о ЛУТе думал, и даже материал купил, но не решился) вообще чуть не вызвала нервный срыв – сразу представлялось, как все производство стоит и хохочет, глядя на жалкие результаты моих трудов…

Хорошо, что плата была совсем уж простая, поэтому не прошло и недели (вместе со временем изготовления), как я уже держал в руках свежеспаянное творение:

image

Кстати, для пайки преобразователей уровней я испробовал жало «микроволна» от Ersa (естественно, есть подобное и у других производителей, да и самому такое можно сделать). Должен заметить, работает довольно неплохо, так что трудоемкость пайки была на порядок меньше, чем можно было бы ожидать при таких размерах выводов.

За время, пока плата изготавливалась, я ускоренными темпами осваивал FPGA. Вообще отладочную плату я изначально купил, чтобы поразвлекаться немного с FPGA технологиями и, в частности, с VHDL, но это у меня конкретно не пошло. Мозг просто отказывался мыслить категориями VHDL, и максимум, что я сделал – повторил в FPGA несколько простых устройств, скопировав их схемы методом схемного дизайна. Изучение же VHDL закончилось на уровне signal3 <= signal1 and signal2; дальше чтение учебников оказывало на меня отличный усыпляющий эффект.

Тут тоже решил все делать с помощью схемного дизайна. И вообще – нафига все эти HDL’ы, когда так просто мышкой нарисовать схему, и все работает? В тот момент мне это казалось и правильнее, и удобнее. Соответственно, нарисовал в Quartus’е все ту же мигалку, только пришлось еще сделать аналог тактового генератора 8284 (на макетке он у меня был в «железном» виде). К счастью, в документации нашлась полная внутренняя структура этой микросхемы, так что с этим проблем не возникло. Хотя один нюанс обнаружился – в документации от Intersil (производитель устаревших микросхем) внутренняя структура 8284 была нарисована с ошибкой (инверсный выход вместо прямого, или наоборот – уже не помню). Правда, ошибку отловил еще при рассмотрении схемы, но сам факт очередной раз натолкнул на мысль, что нужно руководствоваться оригинальными документами.

Далее было сгенерировано ПЗУ с соответствующей программой, моя плата с процессором подключена плоским шлейфом к отладочной плате, и наступил момент истины – загрузка прошивки в FPGA. К сожалению, светодиод после этого не замигал… После короткого разбирательства выяснилось, что я подал 0 на один из входов процессора, тогда как там должна быть 1. Данное недоразумение легко исправилось перерезанием дорожки и пайкой перемычки. После этого светодиод мигнул, но так и застыл в горящем состоянии…

И вот тут пошли настоящие разборки. К сожалению, скажу сразу, что причину нестабильной работы схемы я так и не понял. Почти уверен, что это связано с нечетким определением направленности сигналов через преобразователи уровней (хотя бы потому, что больше там нечему неправильно работать). Светодиод мог помигать какое-то время, а потом вообще в полный отказ, затем снова начать работать безо всякой закономерности.

Все мои тыканья с осциллографом не показали ничего, что могло бы натолкнуть на истинную причину, поэтому мне пришлось задуматься о путях кардинального решения проблемы – останавливаться в этом месте не позволяло самолюбие. Так как «самоопределяющиеся» преобразователи оказались под большим вопросом, решил обратиться к более проверенному решению – 74LVC8T245, преобразователи уровня с «ручным» управлением направления передачи сигнала.

Кроме того, также решил расширить задачу и сделать все по максимуму, в буквальном смысле – запустить процессор в максимальном режиме. В данном режиме процессор не является единоличным владельцем системной шины, и может отдавать ее другим устройствам (типа DMA и т.д.). Именно в таком режиме 8088 работает, в т.ч., и в IBM PC совместимых компьютерах. Для работы в максимальном режиме необходимы определенные сигналы, которые обычно формируются с помощью контроллера шины 8288. Теоретически, эти сигналы можно было сформировать внутри FPGA, но у меня не возникло полной ясности после довольно внимательного чтения документации по 8288, поэтому было принято решение использовать «железный» 8288, наряду с таким же 8284 (гулять так гулять !). В результате получалось гарантированно работающее (как мне казалось) ядро, вокруг которого уже можно было строить все, что угодно.

В процессе обдумывания схемы очередной раз пришел к выводу, что понять направление передачи на шине адреса/данных – не совсем тривиальная задача (именно из-за этого, если помните, изначально пробовал использовать «самоопределяющиеся» преобразователи уровней). Сначала кажется, что все предельно просто, и для этого есть практически готовые сигналы, но при более внимательном рассмотрении выясняется, что все далеко не так (особенно, если принять во внимание возможные временные разбросы сигналов и пытаться четко вписаться в них). Поэтому пошел по не самому элегантному, но зато железно работающему варианту – для младших 8 линий адресов выделил отдельный преобразователь уровня, работающий в одном направлении (от процессора) вместе с защелкой (с ней, защелкой, слегка погорячился – можно было внутри FPGA делать), а параллельно к этому на эти же линии поставил еще один преобразователь, чье направление уже управлялось соответствующим сигналом от 8288 (если говорить только о данных, без оглядки на адрес, то там все однозначно).

Правда, из-за того, что полярность сигнала направления была инверсна к тому, что требовалось для преобразователя, пришлось задействовать еще инвертор из 7400. Зато на схеме появилась знаменитая 7400, она же ЛА3, без которой в свое время не обходилось ни одно цифровое устройство.

Очередной раз, пока плата находилась в изготовлении, я рисовал в Quartus’е схему своего суперкомпьютера. В отличие от предыдущего варианта, тут я уже решил добавить нормальную дешифрацию адресного пространства, разделить память и ввод/вывод, а также задействовать ОЗУ. Мало того, вместо мигающего светодиода я сразу замахнулся на целый 7-сегментый индикатор, который должен был увеличивать свое значение по кругу!

Как раз к моменту завершения рисования схемы платы были доставлены, распайка заняла совсем немного времени, и все было готово к загрузке прошивки:

image

На этот раз, как ни странно, все заработало практически с «полу-тыка». Единственное, что меня удивило и насторожило, так это температура процессора. Он реально нагревался так, что через некоторое время на нем с трудом можно было удерживать палец. Попытки посмотреть осциллографом, нет ли каких-либо конфликтов на шинах, привели только к появлению дополнительных вопросов, поэтому я обратился к одному из профессиональных форумов по электронике.

Тут нужно сделать некоторое отступление. Я никогда не занимался электроникой профессионально, и никакого образования в этой сфере у меня нет. Мало того, даже с любительской точки зрения у меня в этой области был перерыв около 20 лет (да и до того опыт был довольно узким). Соответственно, очень много я не знал вообще, а многое из того, что знал, то понимал в идеализированном виде. В частности, сигналы в цифровой схеме строго прямоугольные и т.д. Не, я, конечно, понимал, что это не так, но практически такое понимание применить не мог.

Так вот, на том форуме мне открыли глаза на некоторые вещи, которые для многих покажутся прописными истинами, но для меня оказались неожиданностью. Например, мне показалась странной форма тактового сигнала, приходящего на процессор с чудовищным по амплитуде «звоном»:

image

Оказалось, что этот самый сигнал выглядит совершенно по другому, если всего-навсего «землю» брать не там, где брал ее я (на другом конце отладочной платы, как удобнее), а непосредственно у приемника сигнала (процессора):

image

Вроде мелочь, но, на мой взгляд, именно из таких мелочей и формируется истинное понимание многих процессов…

Кстати, по поводу оборудования, на котором сделана эта картинка. В ходе возни с 86РК у меня появился совсем неплохой портативный осциллограф Fluke, но почему-то к нему у меня сразу душа не легла. Почему – не знаю, не то, и все… Так что новый проект оказался хорошим поводом к приобретению нового осциллографа. Загоревшись идеей, иногда я принимаю поспешные решения. Так и случилось в этот раз. Вместо детального изучения предмета я заимел первое понравившееся устройство – Tektronix MSO3012. Нет, ничего плохого об аппарате я сказать не могу, наоборот – реально удобный интерфейс, куча полезных функций, практически полноценный 16-канальный анализатор цифровых сигналов, возможность просматривать сигналы в виде логической шины, подключение к компьютеру как напрямую, так и через сеть и т.д. Просто всегда хочется большего, и можно было бы выбрать более современную серию – MDO, которая ко всему прочему предлагает еще и встроенный генератор произвольных сигналов. А так инструмент очень крутой – мне аж завидно тем, кто использует подобное оборудование для решения реальных задач, а не для примитивных поделок, как в моем случае…

Возвращаясь к перегреву процессора – на форуме меня убедили, что для данной микросхемы такая температура совершенно нормальна (просто энергопотребление большое). Окончательно я успокоился после того, как поменял процессор на изготовленный по более современной технологии, и он после этого оставался совершенно холодным в течение всего процесса.

Получив в свое распоряжение 8088 с (потенциальной) кучей периферии, у меня совсем уж зачесались руки попрограммировать что-то посложнее управления 7-сегментым индикатором. Правда, встал вопрос о нормальной интегрированной среде для программирования на ассемблере реального режима (единственный язык, который я знал). И вот тут меня ждал большой облом. Если для 8080/Z80, а также для всех современных контроллеров есть масса как бесплатных, так и коммерческих IDE со всеми мыслимыми и немыслимыми прибамбасами, то для x86 не нашлось вообще ничего приличного. Были какие-то заброшенные любительские проекты, и все. Причин этому можно придумать несколько, но факт остается фактом. В конечном итоге, остановился на WinAsm (первое, что у меня хоть как-то заработало), который полноценным IDE назвать вряд ли можно (в первую очередь, из-за отсутствия отладчика), но хоть что-то (типа компиляции прямо из редактора) он позволял делать. В качестве отладчика на тот момент решил использовать старый заслуженный Turbo Debugger, запускаемый в DosBox.

Первым делом мне захотелось получить для своего устройства нормальный способ отображения информации, т.е. видеоадаптер. Хотя в куче мест (в т.ч. и на Хабре) можно найти статьи на тему «Как самому написать видеоадаптер на HDL за 5 минут», сделать свой модуль для меня было просто недостижимой мечтой. Поэтому я залез на широко известный opencores.org и нашел там самый простой алфавитно-цифровой VGA видеоадаптер, да еще и на VHDL (бОльшая часть проектов на opencores написана на Verilog).

Хотя данный модуль был практически законченным устройством, тем не менее, для работы с моей отладочной платой требовалась некоторая доработка (связанная, в первую очередь, со спецификой цифро-аналоговой части VGA-интерфейса DE2-115). Вооружившись моими зачаточными знаниями отдельных выражений VHDL, а также (в основном) методами научного тыка и последовательных приближений, в конце-концов удалось сделать что-то, что вроде отвечало моим потребностям, и компилировалось без ошибок.

К этому моменту я уже начал более-менее ориентироваться в схемном дизайне, так что преобразовать далее модуль видеоадаптера в символ и вставить в свою схему труда не составило. Сначала в качестве видеобуфера я использовал сгенерированное внутри FPGA ПЗУ с заранее записанным тестовым сообщением. Довольно быстро я увидел это сообщение на экране VGA монитора, после чего можно было менять буфер на ОЗУ. В этот момент в очередной (и далеко не последний) раз я ощутил всю прелесть FPGA. В видеоадаптерах всегда есть конфликт между необходимостью непрерывно читать видеобуфер для вывода его на экран, и потребностью процессора записывать в этот же буфер данные (а иногда и тоже их читать). Решается задача по разному, но, в любом случае, это далеко не самый простой узел (как минимум, для меня). А вот при наличии FPGA все сделалось элементарно – я просто сгенерировал двухпортовое ОЗУ, у которого было два комплекта шин адреса и данных. Процессор, естественно, подключался к одному порту, видеоадаптер – ко второму. Что там происходило внутри ОЗУ, и как убирались конфликты при одновременном обращении к одной и той же ячейке памяти – это были проблемы Altera, но никак не мои.

В качестве основного ОЗУ использовал имеющуюся на плате статическую RAM объемом 16х1M (в смысле, 1024К 16-битных слов). В качестве ПЗУ все так же использовался ROM, сгенерированный внутри FPGA – хотя на отладочной плате есть flash-память более чем достаточного объема, но для отладочных целей намного удобнее использовать встроенную память, тем более, что недостатка ее я не испытывал.

Итак, у меня неожиданно появилась вполне рабочая система на 8088 процессоре с видеадаптером, кучей (относительной) памяти и множеством разъемов для подключения к чему угодно. Все это явно напрашивалось на то, чтобы сделать с ним еще что-нибудь.

В голове очередной раз стала появляться мысль, которую я уже несколько раз упорно отгонял – «Может, DOS ?..». И в какой то момент, попав на пик самоуверенности, я сдался… Итак, будем пробовать запускать MS-DOS!

Очевидно, для запуска DOS мне нужно будет реализовать необходимые функции BIOS, но что скрывается под словом «необходимые»? В принципе, я знал, где найти максимальный минимум (или минимальный максимум ?) функций – а именно в первой версии BIOS’а для IBM PC. Так как уже на этом BIOS’е должны были работать все версии DOS, то в любом случае можно было бы ограничиться только его функциями. Найти исходники BIOS в интернете труда не составило, а беглый просмотр показал, что ничего особо загадочного там нет. Фактически, нужна была работа с клавиатурой INT 16h, видеоадаптер 10h, диск 13h и еще несколько простейших функций типа возврата объема доступной оперативной памяти, которые реализовывались буквально несколькими строчками ассемблера.

Первым делом в глубинах интернета был найден VHDL модуль для работы с PS/2 клавиатурой и внедрен (все тем же схемным дизайном) в мою схему. С контроллером прерываний было решено пока не заморачиваться, так как клавиатура на этот момент планировалась единственным источником прерываний.

Итак, можно было приступать к написанию обработчика INT 09h – прерывания клавиатуры. И тут меня ждала очередная засада. В позапрошлой жизни я довольно серьезно программировал на x86 ассемблере, но это было так давно, что почти все тонкости из головы улетучились вчистую. Нет, ясно, что mov и cmp забыть сложно, но все сложнее этого давалось с огромным трудом. Для меня нет ничего хуже, чем делать то, чего уже когда-то делал, и обучение чему-то не является исключением. Особенно если помнишь, что когда-то был довольно крут в чем-то, а сейчас не можешь сказать ни бе, ни ме… Пришлось, стиснув зубы, скачать какой-то учебник по ассемблеру и в экспресс-режиме его прочитать.

Естественно, вспоминать легче, чем начинать с нуля, но мои программы, особенно вначале, мягко говоря, элегантностью не отличались. Приблизительно как попытка пересказать Шекспира на английском языке, пользуясь словарным запасов в сотню слов и двумя временами…
Тем не менее, довольно быстро минимальный набор INT 09/16 заработал, а за ним и была сделана поддержка нескольких основных функций INT 10h для вывода символов на экран. Можно было приступать к намного более сложной вещи – работе с диском.

Естественно, поддерживать реальный жесткий диск я не собирался. Идея была в том, чтобы эмулировать жесткий диск через работу с SD-картой, тем более, что на отладочной плате был разъем для такой карты. С образом диска проблем не возникло – чтобы не ходить далеко, я взял образ диска для уже упоминавшегося здесь проекта zet.aluzina.org

С поддержкой же работы SD-карты возникло сразу два больших вопроса – аппаратная поддержка шины SPI и протокол взаимодействия с самой картой.

В принципе, SPI можно реализовать полностью программно, но мне хотелось поразвлекаться и с «железом» тоже, поэтому я героически принялся за рисование приемо-передатчика байта в схемном дизайне. К моему удивлению, ничего сложного в этом не оказалось, и довольно скоро я уже наблюдал на экране осциллографа резво бегающие 8-битовые пакеты, содержащие именно то, что мне хотелось. Кстати, тут я впервые оценил возможность нового осциллографа не просто показывать кучу сигналов, а еще и объединять их логически в соответствующую шину. Намного приятнее видеть, что осциллограф понял, что передается именно байт A5, а не вручную смотреть, в нужных ли местах находятся переходы с 0 в 1 и наоборот.

С протоколом общения с SD-картой было слегка сложнее, но не намного. В интернете есть куча ресурсов, где все тщательно разжевывается, поэтому поиск необходимой информации не составил большого труда. В принципе, практически все необходимое по этому поводу в суперконцентрированном виде можно найти здесь — nada-labs.net/2010/using-the-buspirate-with-a-sd-card

Для упрощения задачи я не пытался подстраиваться под все типы и разновидности карт, а ограничился оригинальной SD (не SDHC или еще какие-то варианты) картой. Немного программирования, и вот уже на экране стало отображаться содержимое 0-го сектора карты. Сразу после этого привел эти функции в некоторое подобие INT 13h, добавил в зачаточном виде INT 19h (boot load) и увидел на экране следующее:

image

Так как в тот момент при чтении всегда считывался только 0-ой сектор, то начальный загрузчик (находящийся как раз в этом секторе), не находил ОС для загрузки, о чем и сообщал. Но это уже мелочи – главное, что моя схема потихоньку начала превращаться в настоящий компьютер и уже даже пыталась загрузиться!

Далее пошла борьба с пересчетом физических секторов в логические блоки. Тут я тоже схалявничал и вместо определения параметров (образа) диска просто жестко забил цифры для конкретного экземпляра образа. С этой частью пришлось повозиться – вычисления почему-то приводили к совершенно неожиданным результатам (вообще никогда не любил арифметику на ассемблере). Тем не менее, после некоторых мучений физические сектора/цилиндры/головки стали исправно переводиться в логические блоки, и пришло время попробовать загрузиться уже по серьезному.

Естественно, сразу загрузка не прошла, да я и не ожидал этого. Заранее зная, что у меня в BIOS’е не реализована куча функций, я поставил на все прерывания заглушки, и при обращении к нереализованной функции на экран выводилась вся необходимая информация – к какому прерыванию и с какими аргументами обращаются. Далее шел процесс написания обработчика соответсвующей функции (а еще чаще – просто временной заглушки), и процесс продолжался. Неожиданно все остановилось на функции, которая вообще отсутствует в оригинальной PC – одна из функций INT 2F, связанную с обработкой событий. Я видел, что DOS определяет тип PC, и вроде не должна вызывать прерывания, отсутствующие на данном типе, но, тем не менее, это происходило, и процесс останавливался. Простая заглушка не помогла, а всю функцию реализовывать не хотелось из принципа.

Сейчас уже не помню весь ход мыслей (очень много чего смотрел в тот момент в исходниках DOS и в процессе загрузки), но в очередной раз на данном «зависании» я решил вызвать кучу прерываний (в тот момент у меня был отключен таймер на INT 08h) и нажал клавишу Shift. Неожиданно случилось чудо:

image

Скажу честно, эмоций на меня нахлынуло довольно много – проделать путь от макетки с парой микросхем до загрузки DOS за месяц, да еще и короткими набегами (из-за хронической нехватки времени) вроде довольно круто (извините за хвастовство)!

Кстати, с этим сообщением у меня есть до сих пор неразгаданная загадка. Дело в том, что после доделки прерывания таймера DOS стала загружаться без зависания в данном месте, но вот сообщение о копирайте Microsoft почему-то не выводится. Вроде оно также не выводится и на настоящем компьютере (к сожалению, попробовать не на чем). В чем тут первопричина – тайна, покрытая мраком. Я пытался понять логику по исходным кодам DOS, но сходу не увидел, а много времени тратить не захотел. Тем не менее, вопрос все еще мучает потихоньку…

После запуска DOS пришла очередь позапускать другие программы. Наверное, можно догадаться, чья очередь была первой – естественно, как говорят, старый добрый Norton Commander. Как ни странно, возни с ним было заметно больше, чем с DOS’ом. NC при запуске вызывал дикое количество функций, причем в ряде случаев обойтись простыми заглушками не удавалось, приходилось писать хотя бы минимум функциональности.

Тем не менее, проблемы были больше количественные, чем качественные, и вскоре удалось довести процесс загрузки NC до логического завершения:

image

Такой «интересный» внешний вид обусловлен несколькими причинами:
— видеоадаптер не поддерживал на тот момент атрибуты
— у меня не было второй части знакогенератора, в которой содержиться псевдографика, поэтому в соответствующих местах оказались символы из нижней части кодовой таблицы
— не были реализованы некоторые функции INT 10h.

Вообще меня периодически удивляло, каким именно образом реализованы те или иные функции в различных программах (и даже в DOS). Например, команда CLS (очистка экрана) вызывала функцию INT 10h, вызывающую сдвиг окна вверх. При этом в качестве окна указывалась вся доступная экранная область, и сдвигалась она на количество строк, равное количеству строк на экране. Так как я не ожидал, что функции работы с окнами вообще кто-то использует, то и не спешил их реализовывать. Результат оказался налицо (вернее, на экране). Впрочем, к странностям некоторых программ еще вернемся немного дальше…

После запуска NC у меня возникло естественное желание привести его в божеский вид. Тем более, что такая часть работы иногда даже более приятна, чем попытки завести вообще мертвое устройство. С псевдографикой особых проблем не было – просто довольно много времени на ручное рисование символов (знакогенератор у меня был прямо в виде VHDL кода). А вот с атрибутами пришлось немного напрячься.

Еще раньше, по ходу процесса, я стал применять некоторые элементы VHDL. Сначала практически насильно – все-таки было желание еще раз попробовать освоить этот язык, а потом и потому, что в определенных случаях это оказывалось удобнее, чем использовать схемный дизайн. Даже в самом видеоадаптере мне пришлось вникнуть в код – изначально поддерживалось 43 (или что-то около этого) строки, мне же нужно было переделать на 25 строк. И поддержку атрибутов я сначала попытался сделать схемным дизайном, но вдруг стал осознавать, что вроде использовать VHDL для этого может оказаться проще. Естественно, все двигалось с большим трудом и использованием самых простых конструкций языка, но я вдруг начал понимать суть VHDL – пока еще совсем чуть-чуть, но уже достаточно, чтобы начать на нем что-то осознано создавать, а не просто модифицировать уже имеющееся.

Моя возня с VHDL не прошла даром, и через некоторое время я смог увидеть что-то давно и хорошо знакомое:

image

Да, там еще можно было заметить некоторые недоделки (типа сдвинутого на один символ атрибута), но в целом цветной текстовый режим 80x25 заработал так, как должен.

Следующим на очереди стоял контроллер прерываний 8259. Сначала возникла мысль попытаться использовать уже имеющийся из какого-то проекта, но ни один из них мне по разным причинам не понравился (либо слишком примитивные, либо, наоборот — я не понимал, как они работают, а документация отсутствовала). Была даже попытка купить коммерческую IP (в данном случае IP это не Internet Protocol, а Intellectual Property), но производители не хотели заморачиваться с продажей целой одной штуки…

В конечном итоге пришлось взяться за листик бумаги и набросать нечто типа (блок)схемы контроллера, которую потом начал реализовывать на VHDL. За полной совместимостью не гнался – мне нужна была (на данном этапе) поддержка одного основного режима приоритетных прерываний, возможность маскировать прерывания (также читать маску прерываний) и выполнять команду EOI (End Of Interrupt). На мой взгляд, этого должно быть достаточно, чтобы подавляющее большинство программ с этим нормально работали. Забегая вперед, скажу, что и по настоящий день я не обнаружил ни одной программы, которая пыталась бы сделать с контроллером прерываний что-то свыше заложенной мною функциональности.

Наверное, контроллер прерываний был моим первым настоящим (пускай и маленьким) проектом на VHDL – от начала и до конца. Писал я его тщательно, не поленился даже (опять таки впервые в своей жизни) сделать test bench (не уверен, как правильно перевести на русский – фактически, последовательность сигналов для проверки правильности функционирования устройства). Моделирование в симуляторе ModelSim показало вроде полную работоспособность контроллера, после чего из него был сгенерирован очередной графический символ и добавлен в мое устройство.

Нормального таймера 8254 у меня еще не было, для генерации прерываний 18.2 Гц использовался обычный счетчик, который я и подключил к контроллеру прерываний. Поведение компьютера показало, что вроде все работает – DOS загрузился без необходимости нажимать на клавишу, а в NC наконец-то пошли часы. Казалось, пройден очередной этап, и можно смело двигаться дальше.

Как оказалось, рано я радовался – в этот момент обнаружилась, пожалуй, самая большая проблема во всем проекте. Если кто помнит, у NC есть встроенная экранная заставка – «звездное небо». Оставив мой компьютер на некоторое время, после возвращения к нему я обнаружил, что звезды на заставке почему-то застыли, проще говоря, компьютер завис. Хотя я понимаю, что таких случайностей не бывает, мне все-таки хотелось верить в чудо – в то, что это единичный случай. К сожалению, как всегда, чуда не случилось – после полного сброса и перезагрузки компьютер снова подвис после часа или около того работы. Стало однозначно понятно, что где-то есть проблема, причем очень труднонаходимая.

Чтобы максимально сузить круг поиска, я написал простейший тест памяти, который запускался сразу после сброса процессора, без инициализации всех ненужных устройств типа таймера и т.д. В принципе, индикацию ошибки памяти я воспринял с облегчением – по крайней мере, проблема была явно в железе. Осталось дело за малым – понять, в каком именно месте. И вот с этим оказалось все совсем не просто.
Дело в том, что вообще схема, задействованная в процессе тестирования памяти, по своей сути довольно примитивна. Задействован минимум логики, кроме процессора нет никаких других сложных программируемых элементов. В результате после какого-то времени, затраченного на анализ схемотехники, у меня появилась более-менее уверенность в том, что дело не в принципиальной ошибке в схеме, а в чем-то более случайном – например, в помехах.

С этой стороной схемотехники у меня вообще все было плохо. Я знал, что нужно ставить побольше блокирующих конденсаторов, и что длинные провода – это вроде плохо. На этом мои познания заканчивались. Поэтому за советом я снова обратился на один из профессиональных форумов. Советов мне надавали много, иногда было сложно отделить действительно толковые советы от советующих по принципу «скажу все, что хоть немного знаю на эту тему». Расписывать здесь все это не буду – слишком много всего обсуждалось, так что это может быть темой отдельной статьи. По результатам обсуждений моя плата обросла почти двумя десятками блокировочных конденсаторов и полностью потеряла изначальный более-менее гламурный вид.

К сожалению, очередной запуск теста показал, что проблема не ушла. Возможно, она стала проявляться чуть реже, но это сказать трудно – и раньше сбой мог возникнуть то через 20-30 минут, то через несколько часов. Сейчас же, как минимум, оставленная на ночь плата утром оказывалась гарантированно сбойнувшей. В отчаянии я снова вернулся к анализу схемотехники и еще более внимательному изучению диаграмм работы шин процессора. В одном месте у меня возникла определенная мысль, и я опять пошел на тот же форум. В ходе обсуждения моей идеи я в очередной раз получил порцию полезных (а иногда и не очень) советов, попробовал реализовать некоторые вещи (в первую очередь, связанные с небольшой задержкой некоторых управляющих сигналов), но на наличие сбоев это не повлияло вообще никак.

В конце дороги отчетливо вырисовывался конкретный такой тупик, поэтому я начал проверять вообще бредовые идеи. В частности, не сбоит ли сама микросхема памяти? Для проверки я сгенерировал прямо внутри FPGA модуль RAM, который и использовал вместо внешней памяти. Честно говоря, на результат я не надеялся – просто делал все, что приходило в голову. Но представьте мое удивление, когда после этого сбои вдруг исчезли! Вообще я даже как-то не был готов к этому, поэтому не совсем понимал, как использовать это знание. В то, что микросхема памяти неисправна, не верилось даже в этот момент. Также была почти полная уверенность, что я работаю с этой микросхемой правильно – по управляющим сигналам там все проще простого. Но факт оставался фактом – с микросхемой сбой гарантированно происходил не позже, чем через несколько часов теста, с внутренней памятью все проработало без сбоев несколько дней, пока мне не надоело.

Для очистки совести я все же решил потестировать память полностью другой схемой, без использования моей процессорной платы. В процессе обдумывания, как это лучше сделать, мне вдруг в голову пришла мысль – я понял единственное существенное отличие между использованием внутренней и внешней памяти. Дело в том, что внешняя память была асинхронная, а внутренняя – частично синхронная, и для нее дополнительно требовался сигнал, по которому во внутреннем буфере защелкивался адрес ячейки, к которой происходило обращение.
Я вообще не понимал, как это может относиться к проблеме случайных сбоев – по всем диаграммам было совершенно однозначно понятно, что у меня адрес держится намного больше, чем минимально необходимо для памяти, поэтому, теоретически, это не могло быть причиной. Тем не менее, я тут же нарисовал в Quartus’е еще один регистр, подал на него адрес и защелкнул его тем же сигналом, который использовался для внутренней памяти. Выход регистра, естественно, подал на адресные линии внешней памяти. Понимая, что делаю полную чушь, я запустил тест. И тест отработал успешно до тех пор, пока я его не выключил на следующий день. Далее еще пару раз с регистром и без – совершенно четко было видно, что наличие регистра убирает сбои полностью.

Это было совершенно необъяснимо – даже на осциллографе я видел, что адресные сигналы и так держатся больше, чем это в принципе может быть нужно, но факт оставался фактом. После целых выходных разборок я плюнул на это и решил смириться с этим, как с данностью…

Итак, DOS грузилась, многие программы, не требовавшие графического режима, запускались, можно было двигаться дальше. Естественно, возникло желание запустить какую-то игрушку. Но для игрушки, как правило, требуется графика, а ее у меня пока не было. И если для текстового видеоадаптера удалось обойтись малой кровью путем переделки существующего, то для графики с этим было не так просто.

Дело было даже не в отсутствии готовых решений. Проблема была в том, что мне нужна была практически полная совместимость со стандартным видеоадаптером на аппаратном уровне – ведь все игры работают с графикой напрямую с железом, без использования BIOS. Я понял, что проще сделать видеоадаптер «с нуля», чем пытаться переделать какой-то готовый. Да и, естественно, самому сделать было намного интереснее.

Итак, пишем свой собственный CGA адаптер – даже EGA на пару порядков сложнее, так что пока на него замахиваться не будем. В принципе, немножко для начала я все-таки подсмотрел – нашел, фактически, наброски модуля генерирования VGA-развертки. Но это было полтора десятка строчек, да еще и не до конца работающих. Так что, реально, они были использованы как шаблон для начала писанины – морально так было легче.

Естественно, CGA монитора у меня нет и не планировалось, поэтому идея заключалась в использовании режима VGA 640х400, в которых превосходно ложился CGA-шный режим 320х200 путем простого дублирования точек как по горизонтали, так и по вертикали.
Вообще графический адаптер у меня получился неожиданно легко – мозг к этому моменту вдруг научился мыслить категориями VHDL, плюс появилось небольшое понимание, что можно требовать от VHDL, а чего не стоит. Вообще основное время отладки у меня занял поиск совершенно глупой ошибки, связанной с разрядностью чисел (две такие проблемы наложились друг на друга и дали весьма забавный вариант). В остальном же я начал получать удовольствие от того, как строчки в редакторе превращаются в практически реальное «железо» внутри FPGA и делают именно то, что я хочу.

В самом начале, естественно, адаптер получился далек от совершенства и совместимости, но Checkit смог опознать его и даже вывести первую тестовую картинку:

image

Кстати, Checkit оказался довольно полезной программой – многие вещи он определял довольно хитрыми способами, что заставляло делать всю конструкцию все более PC-совместимой. А так как Checkit мог проверить все узлы и компоненты, то и совместимость тестировалась тоже для всех частей системы.

После исправления самых явных ляпов (типа видимого на предыдущей фотографии дублирования точки из предыдущего байта) удалось, с некоторым трудом, найти игру, которая вроде даже заработала:

image

Цвета на этой картинке не соответствуют оригинальным – в этот момент переключение палитр еще не было сделано, да и сами цвета вообще не были настроенными.

Попытки найти работающие игры показали, что игровые программы, в большинстве случаев работающие напрямую с «железом», куда требовательнее к совместимости, чем какой-нибудь NC или даже QuickBasic. К счастью, FPGA предоставляла практически неограниченные возможности по выявлению фактов обращения программы к интересующим портам, адресам памяти и т.д. Особенно вместе с тем, что BIOS я тоже мог менять по собственному усмотрению, это давало отличный механизм отладки. Кстати, в какой-то момент (уже не помню точно, когда), заработал и Turbo Debugger, что тоже расширило арсенал отладочных инструментов.

Сразу же стало ясно, что нужно делать хотя бы минимальный таймер 8253. Причем программы пытались использовать таймер не только для звуков (канал 2), а еще и активно перепрограммировали канал 0, изменяя таким образом частоту прерываний от таймера, а также использовали этот канал для определения временных параметров.

Почитав документацию к 8253, мне стало немного тоскливо. Делать нужно было много и не очень интересно. Решив заняться этим как-нибудь потом, в тот момент просто залез на все тот же opencores и стащил пару модулей таймера. Один на Verilog, причем весьма упрощенный, второй – по виду крайне навороченный, да еще и на VHDL. К сожалению, таймер на VHDL подключался по шине Wishbone – это открытый стандарт для разработок на FPGA. С Wishbone я до этого никогда не сталкивался, так что решил для начала использовать модуль на Verilog’е, который по интерфейсу выглядел попроще.

После довольно безболезненного подключения таймера к моей системе провел несколько простых тестов и убедился, что модуль вроде работает. Мало того, после еще одной небольшой доработки системы в части интерфейса с динамиком раздались первые, но вполне правильные звуки от работающей игрушки. Пока с таймером можно было закончить, и двинуться дальше.

Дальше же мне пришлось принять кардинальное решение. До этого момента INT 10h я писал сам. В текстовом режиме с этим еще можно было смириться, но вот необходимость поддерживать эти функции в графических режимах меня расстроила. Учитывая, что к этому моменту страсть к программированию на ассемблере была практически удовлетворена (все-таки сказалось то, что в свое время уже пришлось делать это в промышленных объемах), поступил по принципу «Если гора не идет к Мухаммеду, то тот посылает ее нафиг». А именно решил сделать свой CGA адаптер настолько совместимым по «железу», чтобы оригинальный BIOS мог работать с ним.

В принципе, особой сложности не возникло – регистров не очень много, функциональность их крайне проста. Из неявных вещей — пришлось эмулировать регистр состояния, в котором находятся признаки обратного хода луча кадровой и строчной развертки. Довольно логично оказалось, что многие программы (включая BIOS) активно пользуются этим регистром, чтобы избежать «снег» при попытке одновременного обращения к видеопамяти со стороны процессора и адаптера.

Почему-то процесс приведения в порядок видеоадаптера мне показался очень увлекательным, и в конечном итоге этот узел оказался самым проработанным с точки зрения совместимости с оригинальным устройством. Попутно были добавлены недостающие вещи типа переключаемых палитр, режима 640х200 и т.д. Кстати, для тестирования режима 640х200 оказалось довольно непросто найти программу, поддерживающую данный режим. Единственное, что удалось раскопать, это шахматы:

image

На мой взгляд, выглядит довольно красиво…

Оригинальный обработчик INT 10h отнёсся к такому адаптеру весьма дружелюбно, а я вздохнул с облегчением от отсутствия необходимости писать вещи типа распознавания символа, напечатанного в определенном месте экрана в графическом режиме.

Последним препятствием на пути к приемлемой совместимости с PC была, как ни странно, клавиатура. Хотя это было чуть ли не первое, что я прикрутил к проекту, но с точки зрения совместимости там вообще еще конь не валялся. Основная проблема заключалась в том, что все нормальные программы работают с первым набором скан-кодов, который применялся еще в IBM PC. А вот все клавиатуры, начиная с PC AT, выдают, как минимум, второй набор скан-кодов, очень отличающийся от первого. Только контроллер клавиатуры внутри компьютера преобразовывает эти коды в оригинальный, первый набор, и все обычные программы работают именно с ним (даже если эти программы вроде бы обращаются к клавиатуре напрямую, не используя BIOS). У меня же, естественно, никакого контроллера не было (кстати, в PC AT и даже в поздних PC XT для этого использовался отдельный микроконтроллер на базе 8051). Функции INT 09/16 у меня были реализованы в самом минимальном варианте, а уж о прямой работе программ с клавиатурой вообще и речи не могло быть – они (программы) просто не поняли бы ни одного скан-кода.

К этому моменту я вдруг почувствовал эйфорию от владения VHDL – мне казалось, что я уже постигнул истину, и могу вообще все. Поэтому без промедления был написан изящный (как мне казалось) модуль на VHDL, который выполнял перекодирование скан-кодов. В этом модуле все было очень красиво и хорошо, за исключением одной маленькой детали – он не работал. Причем причину неработоспособности я понять никак не мог, что расстраивало и вызывало недоумение – там всего был десяток строчек.

Очередной раз обратившись на форум к знатокам, я получил изрядное количество действительно толковых советов. Мало того, мое понимание самой концепции VHDL очередной раз чуть ли не в корне поменялось (в т.ч., появилось некоторое разочарование). Основное – чудес не бывает. VHDL (а также все другие HDL) не сделает того, что невозможно сделать обычным способом из имеющихся аппаратных ресурсов. Если я пишу строчку, вроде правильную с точки зрения синтаксиса языка, но при этом даже близко не представляю, как это может быть реализовано в железе, то, скорее всего, оно и не будет реализовано при компиляции. Как минимум, не будет делать то, что от этого требуется. И еще – очень важно использовать шаблоны. Оказывается, многие конструкции языка превращаются в правильные аппаратные узлы только тогда, когда компилятор распознает соответствующий шаблон. Определенная гибкость, конечно, присутствует, но все-равно нужно всегда помнить о рекомендованных стилях описания тех или иных узлов.

Думаю, именно после этих разборок я действительно хоть совсем чуть-чуть, но уже по-настоящему начал понимать суть VHDL (да и Verilog к этому моменту тоже перестал быть совсем уж непонятным). Волшебным образом учебники по этим языкам вдруг обрели смысл, и за словами становилась понятна суть описываемых вещей.

Короче говоря, сделав модуль преобразователя чуть менее красивым, но зато намного более правильным, получил на его выходе коды в первом наборе. Далее осталось скормить эти коды уже оригинальному обработчику INT 09h, и проверить все тем-же Checkit’ом правильность распознавания нажатий клавиш. Итак, клавиатура тоже была почти 100% совместима на аппаратном уровне.

К этому моменту я начал ощущать все больше и больше неудобств от того, что верхним уровнем проекта у меня все еще оставался схемный дизайн. Окончательным толчком, побудившим взяться за полный переход на VHDL, послужила смена домашнего компьютера. На столе у меня оказался iMac Retina с установленным Windows. К сожалению, Quartus попал в число программ, которые оказались совершенно не готовы к работе с таким разрешением экрана. Схемный дизайн стал совершенно нечитаемым, и никакие мои попытки что-то настроить никаких реальных улучшений не произвели. Деваться было некуда, я стиснул зубы и взялся за текстовый редактор.

Как ни странно, все прошло более, чем гладко. Сейчас уже даже не помню, нужно ли было хоть что-то отлаживать, или же все заработало сразу после переделки. В любом случае, серьезных затыков точно не было, а вот работать сразу стало намного удобнее и эффективнее. Я сразу вспомнил советы ряда знающих людей, настоятельно рекомендовавших мне с самого начала забыть о схемном дизайне и сразу начинать с VHDL/Verilog. Кстати, относительно VHDL vs Verilog – пожалуйста, не спорьте со мной, что лучше/хуже, и почему я остановился именно на VHDL. Давайте считать, что мне просто так захотелось, и это практически правда. Больше на эту тему я рассуждать не буду…

При переходе на VHDL был также полностью переделан последний модуль на схемном дизайне – интерфейс SPI. Если помните, он обеспечивал аппаратный прием/передачу только одного байта, причем вокруг этого нужно было произвести целый ряд подготовительных шагов. Вкупе с медленным процессором (и лениво написанным INT 13h) это давало всего около 35% от быстродействия оригинального жесткого диска PC XT (согласно Checkit). Так как я уже практически чувствовал себя гуру VHDL и вообще цифровой электроники, то сразу решил писать не копию имеющегося интерфейса, а модуль, обеспечивающий пакетную передачу.

Правда, с DMA (или, как говорят у нас в России, ПДП) решил не заморачиваться – контроллера DMA еще не было, а браться сразу за два новых модуля не хотелось, потом не разберешься, где именно проблема. Отладка модуля прошла не совсем гладко – пришлось немного повозиться, в том числе активно задействуя цифровые каналы осциллографа в качестве анализатора протокола. Кстати, почему-то в ходе всего процесса я практически забыл, что в состав Quartus’а входит встроенный цифровой анализатор SignalTap, который, наверное, был бы еще удобнее. Возможно, в будущем у меня руки дойдут и до него (еще ни разу не пользовался), но пока мне очень нравится использовать для этого отдельную железку.

Наверное, с учетом нового модуля можно было бы более серьезно переписать INT 13h, но мне было лень, и я отделался только минимально необходимой модификацией. В результате получилось не очень красивое и совсем неэффективное нагромождение, но все равно скорость с новым модулем выросла практически в 5 раз:

image

Далее пошел частично нудный, частично увлекательный процесс запуска различных программ (в первую очередь, игровых) с целью выяснения, почему они не работают (вернее, что в моем компьютере недостаточно совместимое). О поисках причин можно написать отдельную большую статью, просто приведу несколько примеров:
— у меня нет DMA. Оказалось, что нулевой канал DMA (используемый для регенерации памяти на оригинальных PC) также используется некоторыми программами как счетчик для определения коротких временных промежутков. Пришлось эмулировать соответствующую часть счетчиков контроллера DMA
— обычно (но не всегда) при чтении из несуществующей области памяти или порта ввода/вывода считывается байт FF. У меня считывалось наоборот – 00. Это не понравилось программе, которая проверяла таким образом (и более ничем другим) наличие джойстика, после чего решала, что он есть, и что зажаты все кнопки
— самым оригинальным способом определения наличия CGA адаптера воспользовалась программа, которая записывала определенное значение в регистр местоположения курсора, потом считывала значение и сверяла с тем, что записывала (потом восстанавливала оригинальное значение). Согласно имеющейся у меня документации, этот регистр вроде должен быть только для записи, но переделал на чтение/запись, после чего программа успокоилась
— не связанное с моим компьютером – потратил кучу времени на выяснение причин зависания простейшей старинной игры Paratrooper. Оказалось что хотя игра и старая, но имевшийся у меня файл был сжат самораспаковывающимся архиватором com/exe файлов. Так вот, та часть, которая отвечала потом за распаковку программы при запуске, содержала команду, которая появилась только, начиная с 286 процессора. Неприятность заключалась в том, что данная команда не сильно влияла на процесс распаковки и портила только некоторые байты (меньше одного из тысячи). Пожалуй, на эти разборки я потратил больше всего времени.

Так, потихоньку, практически все игры, которые у меня были, стали запускаться и работать без особых проблем, в некоторые из них я даже попытался сыграть:

image

В ходе запуска многочисленных игр выяснилось, что имеющийся у меня модуль таймера далеко не идеален – в большинстве случаев звуки были не совсем правильными. Решив, что все-равно захочу разобраться с шиной Wishbone, я решил прикрутить таймер на VHDL, о котором уже упоминал ранее. Для начала, почитал описание Wishbone и сваял нечто типа переходника между Wishbone интерфейсом и шиной 8088 – ничего сложного. К сожалению, таймер не заработал. Пришлось снова доставать осциллограф и смотреть, что же там происходит (в первую очередь, правильно ли формируются Wishbone сигналы).

Кто мог подумать, что в этот момент меня будет ждать великое открытие… Помните, как я мучился со сбоями памяти, и вынужден был ввести промежуточный регистр, необходимости в котором не видел в принципе? Так вот, на экране осциллографа у меня оказалась следующая картинка:

image

Естественно, первое, что бросилось в глаза, так это жуткий звон сигнала 2. Причем звон этот перешел из количественного параметра в качественный. Сигнал 6 формируется одноразрядным счетчиком, на вход которого подан сигнал 2. Фактически, по каждому восходящему фронту сигнала 2 сигнал 6 инвертируется. Но на осциллограмме видно, что сигнал 6 переключился один раз не только по нормальному фронту сигнала 2, но по фронту самого сильного «звона»! Т.е. в моей схеме на некоторых линиях звон был такой амплитуды, что мог вызвать ложные переключения логики. Сказать, что я офигел – не сказать ничего. Даже не верилось, что при всем этом мне удалось добиться устойчивой работы схемы…

Далее, после небольшого анализа схемы с учетом новых данных, мне стало совершенно понятно, где именно возникали старые сбои, и почему тот регистр их вылечил. Тем не менее, нужно было что-то делать, так как именно сигнал 2 мне был нужен для работы с новым модулем таймера. И снова традиционное обращение к знатокам. Из нескольких советов на форуме был выбран вариант с разрезанием дорожки и впаиванием туда резистора. Результат был далек от идеала, но более ложных переключений от звона при тестировании в течение нескольких часов я не зафиксировал:

image

К сожалению, на работоспособность VHDL модуля таймера это не повлияло – он молчал. Повозившись еще некоторое время, причина была обнаружена в довольно неожиданном месте – в самом модуле. Причем была она довольно прозаична (и часто встречающаяся в программировании) – модуль неправильно обрабатывал одно из крайних значений, а именно при делителе 0 он вместо деления на максимальное значение (65536) не делал ничего. Я же проверял все время именно инициализацию канала 0, который инициализируется максимальным делителем, чтобы получить частоту 18.2 Гц. Когда я для эксперимента использовал делитель FFFF, все заработало.

Я даже связался с автором модуля, который (автор) уже и забыл, что он этот модуль писал. Тем не менее, автор помог мне найти конкретное место, где была допущена ошибка, и я даже кое-как попытался ошибку исправить. Именно эта проблема решилась, но были обнаружены другие, так что я пока остановился на первой версии модуля, на Verilog.

К этому моменту готовность моей конструкции была такова, что я созрел для главного эксперимента. Дело в том, что в далеком 86 году я читал статью из журнала «В мире науки», который является русским переводом американского журнала «Scientific American», в которой рассказывалось о новейшем продукте компании Microsoft – а именно об игре MS Flight Simulator. Учитывая, что уже в том время я фанател от компьютеров, но при этом твердо собирался стать летчиком, можно понять, какие эмоции тогда бурлили у меня в голове (да и в других частях тела).

И вот сейчас, спустя почти 30 лет, у меня появилось неутолимое желание запустить именно тот исторический Flight Simulator на моем компьютере. Интерес подогревался еще и тем, что вроде бы в те времена для тестирования на совместимость чуть ли не официально использовались две программы – тот самый Flight Simulator, а также Lotus 1-2-3. Говорилось, что они так плотно используют аппаратные особенности компьютера, что если заработали эти программы, то все остальное и подавно будет работать.

Вообще у меня были некоторые сомнения – я все-таки знал о некоторых подводных камнях в моей конструкции, но все же решил рискнуть (особенно учитывая, что ничем, естественно, не рисковал). Результат на экране:

image

Кстати, загадочная зернистость картинки вначале вызвала у меня подозрение – я сразу стал думать о каком-то совсем хитром способе работы с видеоадаптером, который у меня не поддерживается. На самом деле, как оказалось, таким образом Microsoft пытался получить дополнительные цвета, комбинируя точки из имеющихся цветов. Должен заметить, что, учитывая разрешение 320х200, результат был, мягко говоря, сомнительный.

Никаких проблем с запуском Lotus 1-2-3 тоже не возникло, так что на этом эксперимент можно было бы считать оконченным. Тем не менее, я провел еще ряд небольших доделок и подкруток, после чего стали запускаться и абсолютно нормально работать вообще все программы, которые у меня есть на настоящий момент. Единственной новой функцией, которую я добавил после этого, была EMS. Мне просто не давало покоя, что пропадает больше мегабайта доступной памяти (если честно, то просто хотелось еще что-то сделать), поэтому я нашел описание платы EMS с драйвером, и написал модуль, эмулирующий работу этой платы. Драйвер успешно память опознал:

image

Совсем последним штрихом стала переделка самой процессорной платы. Мне совершенно не нравился тот кошмар, что творился с формой сигналов, а также хотелось еще раз попрактиковаться с Eagle. В результате была разведена 4-слойная печатная плата, у которой один из внутренних слоев был выделен под землю, второй – под оба напряжения питания. Кроме того, самым существенным моментом было устранение шлейфов – разъемы установлены так, что моя плата прямо втыкается в отладочную плату FPGA (если быть совсем уж точным, то в плату расширения портов GPIO отладочной платы FPGA – такая вот матрешка):

image

Были также некоторые схемотехнические изменения – убран полностью формирователь тактовой последовательности 8284 (решил, что можно без проблем убрать его внутрь FPGA, не нанеся ни малейшего ущерба совместимости по сигналам шины) и регистр-защелка на шине адреса/данных (также убран внутрь FPGA). Быстрая проверка формы сигналов на новой плате показала, что сигналы стали практически идеальными:

image

Итак, путь от мигающего светодиода на беспаечной макетке до вполне нормального компьютера был пройден за пару месяцев, при этом получено огромное количество удовольствия, а также знаний в целом ряде областей. На выходе получился компьютер с довольно неплохой совместимостью с IBM PC, на котором вообще без замечаний работают все программы, которые я не поленился раздобыть, в т.ч. и те, которые считаются крайне требовательными к совместимости «железа». На компьютере практически полностью (за исключением обработчика INT 13h) используется BIOS 3-ей версии от IBM PC.

Насчет бюджета проекта сказать что-то определенное практически невозможно. Для начала, что туда включить — только несколько микросхем (подразумевая, что монтаж можно сделать МГТФ'ом, плата FPGA и приборы для настройки и так есть), или же все, начиная от сверхсрочного изготовления плат, покупки отладочной платы FPGA специально для этого проекта, и заканчивая не самым дешевым осциллографом?

Конкретные типы микросхем и всего остального в статье я вроде указал, так что любой желающий сможет посмотреть, во что все это обойдется именно в его варианте. Естественно, необязательно использовать именно DE2-115, для ориентира привожу требуемые ресурсы FPGA:

image

Следует учесть, что здесь еще присутствует куча артефактов, использовавшихся для отладки, да и сам код практически не оптимизировался.

Что делать со всем этим (и делать ли вообще что-либо) – не совсем уверен. В ходе процесса очередной раз стало понятно, что, хотя на энтузиазме и эрудиции тоже можно кое-чего добиться, формальное знание основ позволило бы все ускорить, избежать многих граблей, а главное – больше сконцентрироваться на творчестве, а не изобретении велосипеда с квадратными колесами. Поэтому пока есть большое желание заполнить каким-нибудь экспресс-методом прорехи (вернее, зияющие дыры) в знании как просто основ электроники и схемотехники вообще, так и VHDL в частности. Насколько это получится, увидим – всегда присутствует проблема в мотивации и наличии свободного времени.
Теги:
Хабы:
+259
Комментарии 81
Комментарии Комментарии 81

Публикации

Истории

Ближайшие события

Московский туристический хакатон
Дата 23 марта – 7 апреля
Место
Москва Онлайн
Геймтон «DatsEdenSpace» от DatsTeam
Дата 5 – 6 апреля
Время 17:00 – 20:00
Место
Онлайн